195 lines
6.8 KiB
JavaScript
195 lines
6.8 KiB
JavaScript
CodeMirror.defineMode("verilog", function(config, parserConfig) {
|
|
var indentUnit = config.indentUnit,
|
|
keywords = parserConfig.keywords || {},
|
|
blockKeywords = parserConfig.blockKeywords || {},
|
|
atoms = parserConfig.atoms || {},
|
|
hooks = parserConfig.hooks || {},
|
|
multiLineStrings = parserConfig.multiLineStrings;
|
|
var isOperatorChar = /[&|~><!\)\(*#%@+\/=?\:;}{,\.\^\-\[\]]/;
|
|
|
|
var curPunc;
|
|
|
|
function tokenBase(stream, state) {
|
|
var ch = stream.next();
|
|
if (hooks[ch]) {
|
|
var result = hooks[ch](stream, state);
|
|
if (result !== false) return result;
|
|
}
|
|
if (ch == '"') {
|
|
state.tokenize = tokenString(ch);
|
|
return state.tokenize(stream, state);
|
|
}
|
|
if (/[\[\]{}\(\),;\:\.]/.test(ch)) {
|
|
curPunc = ch;
|
|
return null;
|
|
}
|
|
if (/[\d']/.test(ch)) {
|
|
stream.eatWhile(/[\w\.']/);
|
|
return "number";
|
|
}
|
|
if (ch == "/") {
|
|
if (stream.eat("*")) {
|
|
state.tokenize = tokenComment;
|
|
return tokenComment(stream, state);
|
|
}
|
|
if (stream.eat("/")) {
|
|
stream.skipToEnd();
|
|
return "comment";
|
|
}
|
|
}
|
|
if (isOperatorChar.test(ch)) {
|
|
stream.eatWhile(isOperatorChar);
|
|
return "operator";
|
|
}
|
|
stream.eatWhile(/[\w\$_]/);
|
|
var cur = stream.current();
|
|
if (keywords.propertyIsEnumerable(cur)) {
|
|
if (blockKeywords.propertyIsEnumerable(cur)) curPunc = "newstatement";
|
|
return "keyword";
|
|
}
|
|
if (atoms.propertyIsEnumerable(cur)) return "atom";
|
|
return "variable";
|
|
}
|
|
|
|
function tokenString(quote) {
|
|
return function(stream, state) {
|
|
var escaped = false, next, end = false;
|
|
while ((next = stream.next()) != null) {
|
|
if (next == quote && !escaped) {end = true; break;}
|
|
escaped = !escaped && next == "\\";
|
|
}
|
|
if (end || !(escaped || multiLineStrings))
|
|
state.tokenize = tokenBase;
|
|
return "string";
|
|
};
|
|
}
|
|
|
|
function tokenComment(stream, state) {
|
|
var maybeEnd = false, ch;
|
|
while (ch = stream.next()) {
|
|
if (ch == "/" && maybeEnd) {
|
|
state.tokenize = tokenBase;
|
|
break;
|
|
}
|
|
maybeEnd = (ch == "*");
|
|
}
|
|
return "comment";
|
|
}
|
|
|
|
function Context(indented, column, type, align, prev) {
|
|
this.indented = indented;
|
|
this.column = column;
|
|
this.type = type;
|
|
this.align = align;
|
|
this.prev = prev;
|
|
}
|
|
function pushContext(state, col, type) {
|
|
return state.context = new Context(state.indented, col, type, null, state.context);
|
|
}
|
|
function popContext(state) {
|
|
var t = state.context.type;
|
|
if (t == ")" || t == "]" || t == "}")
|
|
state.indented = state.context.indented;
|
|
return state.context = state.context.prev;
|
|
}
|
|
|
|
// Interface
|
|
|
|
return {
|
|
startState: function(basecolumn) {
|
|
return {
|
|
tokenize: null,
|
|
context: new Context((basecolumn || 0) - indentUnit, 0, "top", false),
|
|
indented: 0,
|
|
startOfLine: true
|
|
};
|
|
},
|
|
|
|
token: function(stream, state) {
|
|
var ctx = state.context;
|
|
if (stream.sol()) {
|
|
if (ctx.align == null) ctx.align = false;
|
|
state.indented = stream.indentation();
|
|
state.startOfLine = true;
|
|
}
|
|
if (stream.eatSpace()) return null;
|
|
curPunc = null;
|
|
var style = (state.tokenize || tokenBase)(stream, state);
|
|
if (style == "comment" || style == "meta") return style;
|
|
if (ctx.align == null) ctx.align = true;
|
|
|
|
if ((curPunc == ";" || curPunc == ":") && ctx.type == "statement") popContext(state);
|
|
else if (curPunc == "{") pushContext(state, stream.column(), "}");
|
|
else if (curPunc == "[") pushContext(state, stream.column(), "]");
|
|
else if (curPunc == "(") pushContext(state, stream.column(), ")");
|
|
else if (curPunc == "}") {
|
|
while (ctx.type == "statement") ctx = popContext(state);
|
|
if (ctx.type == "}") ctx = popContext(state);
|
|
while (ctx.type == "statement") ctx = popContext(state);
|
|
}
|
|
else if (curPunc == ctx.type) popContext(state);
|
|
else if (ctx.type == "}" || ctx.type == "top" || (ctx.type == "statement" && curPunc == "newstatement"))
|
|
pushContext(state, stream.column(), "statement");
|
|
state.startOfLine = false;
|
|
return style;
|
|
},
|
|
|
|
indent: function(state, textAfter) {
|
|
if (state.tokenize != tokenBase && state.tokenize != null) return 0;
|
|
var firstChar = textAfter && textAfter.charAt(0), ctx = state.context, closing = firstChar == ctx.type;
|
|
if (ctx.type == "statement") return ctx.indented + (firstChar == "{" ? 0 : indentUnit);
|
|
else if (ctx.align) return ctx.column + (closing ? 0 : 1);
|
|
else return ctx.indented + (closing ? 0 : indentUnit);
|
|
},
|
|
|
|
electricChars: "{}"
|
|
};
|
|
});
|
|
|
|
(function() {
|
|
function words(str) {
|
|
var obj = {}, words = str.split(" ");
|
|
for (var i = 0; i < words.length; ++i) obj[words[i]] = true;
|
|
return obj;
|
|
}
|
|
|
|
var verilogKeywords = "always and assign automatic begin buf bufif0 bufif1 case casex casez cell cmos config " +
|
|
"deassign default defparam design disable edge else end endcase endconfig endfunction endgenerate endmodule " +
|
|
"endprimitive endspecify endtable endtask event for force forever fork function generate genvar highz0 " +
|
|
"highz1 if ifnone incdir include initial inout input instance integer join large liblist library localparam " +
|
|
"macromodule medium module nand negedge nmos nor noshowcancelled not notif0 notif1 or output parameter pmos " +
|
|
"posedge primitive pull0 pull1 pulldown pullup pulsestyle_onevent pulsestyle_ondetect rcmos real realtime " +
|
|
"reg release repeat rnmos rpmos rtran rtranif0 rtranif1 scalared showcancelled signed small specify specparam " +
|
|
"strong0 strong1 supply0 supply1 table task time tran tranif0 tranif1 tri tri0 tri1 triand trior trireg " +
|
|
"unsigned use vectored wait wand weak0 weak1 while wire wor xnor xor";
|
|
|
|
var verilogBlockKeywords = "begin bufif0 bufif1 case casex casez config else end endcase endconfig endfunction " +
|
|
"endgenerate endmodule endprimitive endspecify endtable endtask for forever function generate if ifnone " +
|
|
"macromodule module primitive repeat specify table task while";
|
|
|
|
function metaHook(stream, state) {
|
|
stream.eatWhile(/[\w\$_]/);
|
|
return "meta";
|
|
}
|
|
|
|
// C#-style strings where "" escapes a quote.
|
|
function tokenAtString(stream, state) {
|
|
var next;
|
|
while ((next = stream.next()) != null) {
|
|
if (next == '"' && !stream.eat('"')) {
|
|
state.tokenize = null;
|
|
break;
|
|
}
|
|
}
|
|
return "string";
|
|
}
|
|
|
|
CodeMirror.defineMIME("text/x-verilog", {
|
|
name: "verilog",
|
|
keywords: words(verilogKeywords),
|
|
blockKeywords: words(verilogBlockKeywords),
|
|
atoms: words("null"),
|
|
hooks: {"`": metaHook, "$": metaHook}
|
|
});
|
|
}());
|